CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Frequency Counter vhdl

搜索资源列表

  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. pinluji.rar

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 ,Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4B), decimal counter (CNT10) of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11246
    • 提供者:深空
  1. VHDL_procedures.rar

    0下载:
  2. VHDL程序来让蜂鸣器发出音乐的声音 这种电路设计要分好几个模块 主要思路是用ROM记录乐谱 然后用分频器分频 还有就是用计数器读取乐谱 另外还可以扩展 使其显示音符 这是一个做好了的 就是ROM没填谱,VHDL procedures are in place to allow the voice of music The buzzer sounded a circuit design that several sub-modules to the ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1568
    • 提供者:yy0838
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. times

    0下载:
  2. 计数器,用VHDL实现,先6分频,再10分频,24分频,同时可做万年历-Counter, using VHDL realization frequency first 6 hours, 10 minutes and then the frequency, frequency of 24 minutes, at the same time to do calendar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4027
    • 提供者:孔莉
  1. DVF

    0下载:
  2. 数控分频器的设计数控分频器 端口定义: CLK:时钟输入 D[7..0]:预置数据 Fout:分频输出 说明: D[7..0]作为8位加1计数器的初值,初值越大,分频输出频率越高,反之越低, -NC NC divider divider port the definition of design: CLK: Clock input D [7 .. 0]: preset data Fout: frequency output that: D [7 .. 0] as
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:603
    • 提供者:张娟
  1. DDSckkc

    0下载:
  2. 以把直接数字频率合成(DDS)看成这样一种技术,它能用数字值形式的信号控制正弦波的频率。最简单的DDS电路包括一个二进制计数器,一个以等间隔正弦波值进行全波编程的ROM,以及一个数模转换器,用于将存储的正弦波值转换为电压。计数器的时钟频率决定了正弦波的频率,但这 -To the Direct Digital Synthesis (DDS) as such a technology, it can use the digital value of the form of the frequen
  3. 所属分类:Screen Saver

    • 发布日期:2017-04-02
    • 文件大小:199284
    • 提供者:huangz
  1. FREQ

    0下载:
  2. 硬件描述语言VHDL的频率计程序,可用于做实验,或者初学者借鉴.-Hardware Descr iption Language VHDL of the frequency counter program can be used for experiments, or the beginners learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:356696
    • 提供者:czm
  1. lab8

    0下载:
  2. 此實驗中我們將量 測人的反應時間,由於人的反應時間遠比起內建CLOCK的週 期長的多,因此要對CLOCK做除頻的動作方可適用,並方便 於計數 器的計算與 七段顯示器的呈現。實驗內容為,當看到LED亮 起時,立 即做出反應將計數 器停 下,並顯示出當時計數 器之時間。計數 器以兩 位數 BCD counter來 實現並將結果 顯示於七段顯示器上。-Vo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:141811
    • 提供者:徐小華
  1. frq_cnt

    0下载:
  2. Frequency Counter in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2843
    • 提供者:mr_adam
  1. freqcntr2

    0下载:
  2. Frequency counter 2 in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7145
    • 提供者:mr_adam
  1. 10512210247008

    0下载:
  2. 该数字式相位测量仪以单片机 (89c52) 为核心 , 通过高速计数器 CD4040 为计数器计算脉冲个数从 , 而达到计算相位的要求 , 通过 8279 驱动数码管显示正弦波的频率,不采用一般的模拟的振动器产生 , 而是采用单片机产生 , 从而实现了产生到显示的数字化 . 具有产生的频率精确 , 稳定的特点 . 相移部分采用一般的 RC 移相电路 , 节省了成本。-The digital phase-measuring instrument in order to microcontrolle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:145822
    • 提供者:包进辉
  1. counter

    0下载:
  2. 这是一个从零计数到9999在归零的vhdl程序,程序不复杂,对于理解分频原理,数码管显示原理有很大的帮助-This is a count from zero to zero in the vhdl program in 9999, the program is not complicated, the principle for understanding the frequency, digital display of great help to the principle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1351
    • 提供者:王冰
  1. plj

    0下载:
  2. 此程序为fpga的频率计vhdl程序,功能是可以检测到输入信号的频率并且通过八位数码管显示-This procedure is the frequency counter vhdl fpga program function is to detect the frequency of the input signal and the digital display by eight
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:560720
    • 提供者:宫晓鹏
  1. pinlvji

    0下载:
  2. 自己编的一个频率计,verilog语言写的,用数码管显示方波的频率,测量量程是0.1hz~9999999hz,测方波的稳定性极高。-Their series a frequency counter, verilog language written with the digital display of the square wave frequency, measurement range is 0.1hz ~ 9999999hz, high stability of the square w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1426005
    • 提供者:龙德勇
  1. 001

    0下载:
  2. vhdl 语言 用计数器实现分频 N分频器的设计-frequency counter vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2401
    • 提供者:xiaojing
  1. FPGA-based-frequency-counter

    0下载:
  2. 文章主要介绍了使用VHDL实现数字频率计的功能,其中包含了各部件的VHDL语言描述,仿真和大致硬件框图,对于初学EDA者大有帮助。-The article introduces the VHDL realization of the functionality of the digital frequency meter, which contains the hardware block diagram of the various components of the VHDL languag
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:776981
    • 提供者:金刚
  1. frqcounter

    0下载:
  2. 频率计vhdl代码,采用max plus -Frequency counter vhdl code using max plus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:81623
    • 提供者:wjk
  1. Frequency

    0下载:
  2. 用VHDL语言实现频率计功能,加载到FPGA可以立即实现-With VHDL frequency counter function can be realized immediately loaded into the FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:1510
    • 提供者:张中
  1. VHDL

    0下载:
  2. 如何语言运用VHDL语言设计一个二分频器-How language use VHDL language to design a binary frequency counter
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:9185
    • 提供者:朱越科
« 1 23 4 »
搜珍网 www.dssz.com